logic circuit

  1. L

    Propagation delays in control lines and potential bus contention

    Hi everyone, I am currently working a simple 8-bit hobby processor design. I am still in the early phases of designing the processor logic and haven't started on the actual circuit. Premise: my processor's control unit design was inspired by what Ben Eater has done in his project: basically...
  2. MissFluffy

    *Circuit Issues* DIGITAL ALARM CLOCK

    Hi everyone! I'm currently working on a digital alarm clock project and have used a website for reference: (https://bestengineeringprojects.com/digital-clock-with-seconds-and-alarm-time-display/) However, I've made some modifications, such as removing the transformer and some diodes. I've added...
  3. R

    Checking Truth table and K-Maps for a two-way traffic light

    Hi everyone, I've been assigned to work on a two-way traffic light system, for cars and pedestrians. I have made a state and truth table, along with some K-Maps I've attempted but are most probably wrong, which are available in the attached file. Based on that, I'm stuck on how to do the K-Maps...
  4. B

    Need help with my project, a combination lock in proteus

    So im trying to make a combinational lock in proteus. One of the issue i've come across is one of my button which is labelled "reset" output high even if its not press but when I connect a logic probe to the line, the cable becomes normal. The second issue is there is a sequence detector that...
  5. Elgordoroberto

    Ic led switch circuit

    Hello all, I’m helping my daughter with a design project and part of it needs a simple led control circuit. Using a single push button and 4 leds, I need an ic circuit that would light led 1 with th e first button push, led 1 &2 with the second, led 1,2,&3 with the third button push, leds...
  6. sfthdfghjghjg

    7 Segment using D-Flip flop (URGENT HELP!)

    Hi, I need help with writing a state table of 7 segment with 4-bit input A,B, C "Present state", and x "Input".
  7. S

    Hardware Interlock Circuit with AND & NOT Gates

    Here is my Hardware Interlock Circuit for Gate Signals which prevents both PWM Signals to be at same state at any given time. Here I want to reduce this two AND Gate IC's into a Single AND Gate IC. Or Is there any other way to implement the Hardware Interlock for Gate Signals ? Is there any...
  8. N

    Street light circuit

    Hello Everyone, I am designing a circuit that operates on pressure sensors to turn lights from red to green, green to yellow, and yellow back to red. I am implementing 2 of the 4 sides of an intersection due to redundancies. How would I design a circuit with 2 inputs and 2 outputs? My plan is...
  9. Swaysceptile

    TinkerCAD 7-Segment Display Not Showing on Simulation

    Hi, I am only on my 5th week trying out TinkerCAD for our Logic Circuits course. We are tasked to create a 7-Segment Display Using Logic Gates only. I did all the boolean expressions needed for each segment using K-MAP, and identified the boolean expression needed to create my circuit. I am...
  10. W

    decoder from multiplexer

    Hi, How can I implement a 2-bit decoder using a 4 to 1 multiplexer ?
  11. G

    Need help on a logic circuit - logisim (or any simulator)

    Hello everyone, I'm new here. So I've got this assignment that asks me to use JK flip-flops in order to create a circuit that obeys the following truth-table: The thing is, I have no idea on how to get these results at all. From what I understand, first two lines imply that PRE and CLR are both...
  12. L

    Beginner's question about a NOT inverter

    Hello, This is my first post here. I'm trying to learn electronics on my own via different sites At the moment, I'm trying to understand how a NOT inverter works. I perfectly understood what it was used for, but something escapes me on this diagram...
  13. D

    How to draw this logic circuit?

    Hello. This is JK flip-flop. I have to convert it into D flip-flop, that it does the same what JK does. Then I have to draw a logic circuit of D flip-flop. I don't know what to do, can you help me?
  14. GastonJam

    Arithmetic - Absolut Value

    I want to construct a circuit that calculates the absolute value of a signed 4-bit number in two's complement! So the logic here : assuming we have B = b3 b2 b1 b0 . If b3 is '1' then then b is already in 2s complemnt and should be assigned directly to the output else if b3 is equal to '0' then...
  15. M

    Trying to figure out LVCMOS Interface - Will this work?

    Hi, I am trying to see if this interface will work. I am worried about the Vol to Vih relationship as there is no margin. The vendor of the driver thinks it will be ok. Here are the parameters: Driver: Voh=2.3V (min), Vol=0.8V(max) Receiver: Vih=2.0V(min), Vil=0.8V(max) So as you can see...
  16. R0UGHR1D3R

    Logic circuit projects issue

    Hello everyone, i have these two school projects and i tried my best to simulate them in Proteus, and so far no desired output :( Can you pls help me fix the issues they might have? First project is about making a two-digit binary adder and subtractor with seven segment display by using full...
Top