Square wave delay circuit - Help!

Thread Starter

squiggles

Joined Jun 1, 2018
2
I'm trying to build a square wave variable delay circuit with some logic gates and components.
Where the output square wave is delayed from 1 - 5us compared to original 5Khz square wave signal.
Using Function generator 50% duty cycle for input.
Something simple ..not much electronics knowledge.
thank you
 

Thread Starter

squiggles

Joined Jun 1, 2018
2
Ughh..afraid so, saw some circuits online with 2 nor gates and an inverter and a pot on the input side, tried it out but did not work out.
any help, ideas appreciated.
thanks
 

WBahn

Joined Mar 31, 2012
29,978
We will not do your homework for you. You need to show your best thoughts and attempts. That is what gives us something to work from to help guide YOU to finding your own solution.
 
Top