divide two 64bit number to a result float number

Thread Starter

mojaha

Joined Mar 9, 2023
2
I want to divide two 64 bit number and the result will be a float number
How I can do this by VHDL?
 

drjohsmith

Joined Dec 13, 2021
852
I want to divide two 64 bit number and the result will be a float number
How I can do this by VHDL?
Ouch.
That sounds more like a software solution than a hardware one
But vhdl can cope .
You need to first use vhdl 2008 or later , with the floating point package .
Then , convert the two 64 bit numbers to float , and do a float divide.
What format are the two 64 bit numbers ? 2s compliment ? Unsigned binary ?
 

Thread Starter

mojaha

Joined Mar 9, 2023
2
Ouch.
That sounds more like a software solution than a hardware one
But vhdl can cope .
You need to first use vhdl 2008 or later , with the floating point package .
Then , convert the two 64 bit numbers to float , and do a float divide.
What format are the two 64 bit numbers ? 2s compliment ? Unsigned binary ?
It is unsigned.
how I can set floating point package?
 
Top