AES-128 VHDL Implementation

Thread Starter

nihi

Joined Mar 19, 2015
2
Hello
I am doing Implementing AES-128 algorithm using VHDL using state machine. I have done Encryption correctly but not getting the right output for decryption, Blockwise it is correct but top level having problem which I am not getting. So, please help me asap. I am attaching the top level code file. I think Problem is in OPERATION COUNTER .
 

Attachments

Top