8-bit integrator

Thread Starter

thendralmathi

Joined Jan 8, 2012
3
actually i have got to design the FSK MODULATOR AND DEMODULATOR in VHDL. .. In that INTEGRATOR is also a part.... Upto now what i have got is only an analog integrator.... So i need the VHDL CODING for the digital integrator.... Or else send me the circuit for the digital integrator(which has the components like GATES, etc).....

thank you.....
 

thatoneguy

Joined Feb 19, 2009
6,359
Do you have ANY of the VHDL code done?

Please post what you have, where you are stuck, and some help will be along.

Full solutions aren't simply given out in most cases.
 
Top