16 bit latch

Thread Starter

The_Cook

Joined May 29, 2014
48
Hi guys, I'm new to logic design so I need some help getting started. Can anyone help me design a 16-bit latch with a load-enable bit and 16-bit data input/output. I want to create it in a logic simulator program, doesn't really matter which as long as it is correct.
 

sailorjoe

Joined Jun 4, 2013
364
Look at the datasheet for a 74HC374, or 74HC259. Use two 8 bit latches to make a 16 bit latch.
Also, Google "8 bit latch" and you'll see a variety of options.
 

Papabravo

Joined Feb 24, 2006
21,159
There is a trick to building a good transparent latch which is easy to see if you use a Karnaugh map. The basic circuit is combinatorial involving the data input D, the enable input G, and the output Q. If the enable G, is HIGH(LOW), then Q = D. If the enable G, is LOW(HIGH), then Q = Q. This plan gives you two alternatives, one with the enable HIGH and one with the enable LOW. Because you are feeding the output back into the decision making process there is a chance under certain conditions for the output to have a momentary moment of indecision. Can you find the solution for a 1-bit latch? If so you can replicate it 16 times.

Hint: The solution contains the sum of three product terms.
 
Last edited:
Top