VHDL code for 32 bit wallace tree multiplier please.....

Thread Starter

seethala

Joined Dec 13, 2006
1
I am working on project "Multiplier RC6 Encryption" I need VHDL code for the 32 bit wallace tree multiplier for that.If any one has it please help me.....
 

n9352527

Joined Oct 14, 2005
1,198
If you insist on ready to use code, then most probably no one in this forum would be willing to provide you with one (at least not me :D). However, if you are willing to code the function yourself, then I'm sure there are members of this forum that are able to help you.

For a start, if you are not familiar with Wallace Tree multiplier, have a look at Wikipedia article to get an idea on how it works. There are also other resources available on the net on that subject. Google is your best friend.

If you decide to have a go and encounter any problem, then you can post here and we will try to help you iron it out.
 

Dave

Joined Nov 17, 2003
6,969
If you insist on ready to use code, then most probably no one in this forum would be willing to provide you with one (at least not me :D). However, if you are willing to code the function yourself, then I'm sure there are members of this forum that are able to help you.

For a start, if you are not familiar with Wallace Tree multiplier, have a look at Wikipedia article to get an idea on how it works. There are also other resources available on the net on that subject. Google is your best friend.

If you decide to have a go and encounter any problem, then you can post here and we will try to help you iron it out.
I fully concur. Given that a Wallace Tree Multiplier is what one would consider to be a niche design (although common), it is unlikely that someone has working code to hand.

You also neglect to say how proficient (if at all) you are at writing VHDL code.

Remember, whatever you put into these forums you will certainly get out.

I am also moving this to the Programmers Corner forum where it is better suited.

Dave
 

VHDLCoder

Joined Oct 17, 2011
1
You can find a generic implementation of a wallace tree multiplier here: Generic VHDL Wallace Tree Multiplier

This implementation uses a small set of recursive functions to identify the number of applicable bits at a given layer. The main code then maximizes the number of 3:2 compressors (full adders) followed by 2:2 compressors (half adders) and then wires.

The final partial sums are fed into a generic Brent-Kung adder (a type of carry tree adder like other carry look-ahead adders including the Kogge-Stone adder).

Hope that helps!

VHDLCoder
 

Wendy

Joined Mar 24, 2008
23,415
This thread is 5 years old. The OP is long gone, along with most of the other folks on this thread.

You are talking to yourself here.
 
Top