Sequential circuits help

Thread Starter

Andreea Blaga ت

Joined Jun 26, 2015
15
Hello,
I am currently studying for my exam, and I got few exercises left. I came across this exercises that I really don't know how to solve neither I found the solution anywhere.
"A sequential circuit has one input (X) and one output (Z). Design a digital system that makes the output Z = 1 if and only if the total number of 1's received is divisible by 3 (for example, 0, 3, 6, ) or total number of 0's received is an even number greater than zero (nine states are sufficient)."
I have no idea how to solve this. Does anyone know something about it?
Any help will be much appreciated, thanks in advance.
 

WBahn

Joined Mar 31, 2012
29,976
Try tackling them one at a time.

Design a machine that will output a HI if the number of 1's received is divisible by 3.

Then design a machine that will output a HI if the number of 0's received is an even number greater than 0.

How could you combine the output of these two machines to give the desired final result requested?
 

RBR1317

Joined Nov 13, 2010
713
This is a sequential circuit so it will be clocked. Do you need to design the data input clocking circuit, or can you assume that a "1" input will advance the "1" counter and a "0" input will advance the "0" counter?
 

Thread Starter

Andreea Blaga ت

Joined Jun 26, 2015
15
This is a sequential circuit so it will be clocked. Do you need to design the data input clocking circuit, or can you assume that a "1" input will advance the "1" counter and a "0" input will advance the "0" counter?
It doesn't really say how to implement it, just to design it, but i think the second one would be more appropiate.
 

Thread Starter

Andreea Blaga ت

Joined Jun 26, 2015
15
Try tackling them one at a time.

Design a machine that will output a HI if the number of 1's received is divisible by 3.

Then design a machine that will output a HI if the number of 0's received is an even number greater than 0.

How could you combine the output of these two machines to give the desired final result requested?
I believe with some logic gates or multiplexers to choose between the two?
 

WBahn

Joined Mar 31, 2012
29,976
So take the first step -- design a machine that will output a HI if the number of 1's received is divisible by 3 (or do the other one first -- your choice).
 
Top