Need help with VHDL

Thread Starter

sdfdf

Joined Jun 18, 2009
1
Hi. I need some help with VHDL.

Is there a function I can use to find the maximum value of an array of numbers?
If there is one could somebody give an example of how to use it?

Thanks.
 

tuborggg

Joined Jan 3, 2009
37
Yes beenthere, but it can use a comparator...
sdfdf - write such a function (3-4 lines max, just decide on it's input and output integer/std_logic_vector/array...)
and use it:
max_reg <= max_func(input_a, input_b);
Have fun
 
Top