How to put a wait inside a process in VHDL

Top