Help with VJTAG and TCL script

Thread Starter

SparkyNZ74

Joined Nov 27, 2021
1
I must be doing something really stupid here.

The problem I have is that I seem to have a disagreement between instruction register values in TCL script and in Verilog.

In TCL:

Code:
set RESET_HI_CMD 11
set RESET_LO_CMD 12
..
device_virtual_ir_shift -instance_index 0 -ir_value $RESET_LO_CMD
setJTAGBypass
after 3000
device_virtual_ir_shift -instance_index 0 -ir_value $RESET_HI_CMD
setJTAGBypass

In Verilog:


Code:
localparam RESETHI = 4'b1011; // Definitely 11, same as TCL
localparam RESETLO    = 4'b1100;  // Definitely 12, same as TCL..     
..
if( opco[3:0] == RESETLO )
begin
  notReset <= 0;
  oLED1 <= 0;
  oLED8 <= ~ oLED8;
end
else
if( opco[3:0] == RESETHI )
begin
  notReset <= 1;
  oLED1 <= 1;
  oLED7 <= ~ oLED7;
end
What I'm observing is a sequence of RESETHI-(3 seconds)-RESETLO on my device when it SHOULD be RESETLO-(3 seconds)-RESETHI

Any ideas please?
 
Top