Does anybody know why my circuit is not displaying anything? this is a fibonacci sequence adder in logisim

Top