cpld programming

Thread Starter

haran

Joined Apr 6, 2008
42
i have just started learning about cpld programming n i have been given a project to create a coding to make a down counter using a seven segment display using when - else statement or if statement or bit arrangements the problem is dunt know how to do any of this. Can you guys point out how i can do the programming or where i can find reference about pld programming?
 

ametso

Joined Apr 30, 2008
13
First you should deside whish language will be used for PLD programmin and start to study chosed language (Verilog and VHDL are most used).
Verilog focusing litle bit more to IP-design instead the VHDL is used as common PLD coding. So I ques that VHDL is for you.

Few sites (good?) exist for starting learning.
- http://en.wikipedia.org/wiki/VHDL
- http://www.doulos.com/knowhow/vhdl_designers_guide/

Also you should visit following manufacturers sites and surf on their aplication notes.
- http://www.altera.com/
- http://www.xilinx.com/
- http://www.latticesemi.com/

"Happy lessons with painfull PLD programs"
 

Reshma

Joined Mar 11, 2007
54
i have just started learning about cpld programming n i have been given a project to create a coding to make a down counter using a seven segment display using when - else statement or if statement or bit arrangements the problem is dunt know how to do any of this. Can you guys point out how i can do the programming or where i can find reference about pld programming?
I recommend that you first try out out simple VHDL programs in order to understand how the code is written and the different architectures used. Only then attempt the combinational designs like Mux, Demux, Counters etc. You can go through this tutorial to help you write the code:
http://esd.cs.ucr.edu/labs/tutorial/
 

ametso

Joined Apr 30, 2008
13
Sure you can use MaxPlus tool, but it's not support new CPLD families of Altera. If you like there is free Qartus II web edition available in Altera's URL. Quartus is more efficient than MaxPlus and it supports all Altera's chip.

Is no matter what tool you use for tutorial as I mention above. All tools supports basic syntax about VHDL.
 
Top