problem with designing multiplexer in active hdl 10.1

Thread Starter

tia2017

Joined Mar 23, 2017
24
hi i'm designing a multiplexer using active hdl 10.1 and i got this code from some site but when i simulate it all outputs are undefined and i don't know why. i compiled the code and it shows no error. i attached the code below in a text file.
 

Attachments

Top