Fibonacci Number Checker Using Logisim

Thread Starter

LimOn Khan

Joined Apr 6, 2016
3
Hello, I need to make a "Fibonacci Number Checker" by using logic circuit (by using the software Logisim). And I have to display it as well. Anyone can help me how do I need to approach or any useful web link from where I can get help. I need to use registers, gates, mux and other circuit stuffs.

Thank you
 

kubeek

Joined Sep 20, 2005
5,795
First what you need is a full adder, made from gates. Second thing you need are three registers A, B and C. A and B are on the inputs to the Adder, C is on the output.
Each register needs a control wire that lets you update its contents.

At the beginning, you need to set A to 1 and B to 1.
{In each cycle you take the output of the adder and put it into C, then in next step tranfer the contents from A to B and from C to A.} Repeat for so many cycles to get to your desired fibonacci number.

You need to determine what is the largest fibonacci number you want to be able to comute, then decide the number of bits for the registers and adder so that the numbers fit in.
 
Top