Design a 4-bit majority function.

Thread Starter

surenboy

Joined Oct 4, 2011
3
A majority function is a system that will assert when there are more 1’s than 0’s on the inputs. Design a 4-bit majority function.
hey guys anyone please explain how to solve this. please explain it after done.thank you.
 

BillO

Joined Nov 24, 2008
999
A majority function is a system that will assert when there are more 1’s than 0’s on the inputs. Design a 4-bit majority function.
hey guys anyone please explain how to solve this. please explain it after done.thank you.
You mean do your homework for you?

First, a couple of questions. Do you need a logic statement or a logic circuit? Or can you do this with an analogue circuit?

Now, lets try to understand where you are having difficulty. Try to do this:

If you have 4 bits named A, B, C, D, can you name for me the 4 ways you can have a majority?
 
Last edited:

Georacer

Joined Nov 25, 2009
5,182
The Homework Help section asks that you post up the work you have done so we can see it and find where you went wrong, or suggest better approaches. We will not do all your work for you, no matter how little time is left before it must be submitted.

If you are in doubt about how to structure your post and what to include please read this thread: http://forum.allaboutcircuits.com/showthread.php?t=3002

Thank you.
 

Thread Starter

surenboy

Joined Oct 4, 2011
3
hi,
A majority function is a system that will assert when there are more 1’s than 0’s on the inputs. Design a 4-bit majority function of "1".

sorry for inconvenient, this question have to be designed using digital circuit. it is my lab exercise.i don't understand what they are actually asking to do.

if there is any idea to designed this circuit,please provide it. thank you:)
 
Last edited:

Georacer

Joined Nov 25, 2009
5,182
You need to design a Boolean function in order to achieve your goal. Your function will have four inputs - the bits for comparison - and one output, that will say "yes" or "no".

With a 4-bit input, it is reasonable to tackle the problem by making its truth table (16 lines) and then proceeding with a Karnaugh map.

Please post the truth table of your circuit.
 

BillO

Joined Nov 24, 2008
999
@ Surenboy,

Please, try to answer the question I asked:

If you have 4 bits named A, B, C, D, can you name for me the 4 ways you can have a majority?


For example, Bob, Ted and Mary want to get something to eat. They decide that they will vote for pizza. The majority verdict will decide the vote. They realize there are 3 ways to get a clear majority. So they write down the following:

Pizza = Bob and Ted or Ted and Mary or Bob and Mary.
 

Markd77

Joined Sep 7, 2009
2,806
I think there are 5 ways to have a majority.
<ed>Maybe the 5th way is unanimous, not a majority.</ed>
 
Last edited:

Thread Starter

surenboy

Joined Oct 4, 2011
3
hi i get your point. from the truth table it shows 5 majority.
that is : A'BCD , AB'CD, ABC'D , ABCD' and ABCD.
your question helped me. thank you.
 
Top