Where to start with Verilog?

Thread Starter

jean28

Joined Sep 5, 2012
76
Hello guys,

I am trying to learn how to program in Verilog. I thought it would be like most other programming languages where there are a wide variety of good compilers (simulators in this case?) to use. After reading a little bit online, I can see that is not the case. Where should I start if I want to run a simple "hello world" program on Verilog? What simulator do you guys suggest?

A friend told me he liked to use Multisim for Verilog programming. Is that a good option?

Thanks,
 

tshuck

Joined Oct 18, 2012
3,534
I like to use ModelSim. Altera has a simulator bundled with there Quartus II Web Edition and I believe the Xilinx ISE design suite comes with a simulator also(ISim).

Wikipedia offers a nice list of Verilog simulators for you to peruse.

If you are looking open-source, it appears Icarus Verilog is your best bet.

As a side note, Verilog and VHDL are not programming languages. There is no programming done with Verilog or VHDL. These are Hardware Description Languages(HDL) describing real hardware that a device will implement with logic blocks, not some code that a predefined processor will use to perform an action.
 

Brownout

Joined Jan 10, 2012
2,390
You can download a student version of Modelsim for free. It's very easy to learn and there are some tutorials online. Modelsim simulates your code and includes a graphic logical view of the internal signals of your verilog model. Once you're comfortable simulating your code, you can get an FPGA development board. Inexpensive versions include a Xilinx Spartan or Altera Cyclone device. These are the most popular devices, though there are other vendors in the market.

Welcome to the community of hardware programmers. You'll enjoy the experience, I'm sure.
 

Thread Starter

jean28

Joined Sep 5, 2012
76
Welcome to the community of hardware programmers. You'll enjoy the experience, I'm sure.
Thank you very much. I assume you are a hardware engineer or something similar?

I will try to use ModelSim Altera, which is the one used in one of the youtube tutorial videos.
 
Top