Rich (BB code):
Library ieee;
Use ieee.std_logic_1164.all;
Entity ThisTable is
Port(
D: in std_logic_vector(1 downto 0);
Y: out std_logic_vector(1 downto 0));
End ThisTable;
Architecture Joe_Structure of ThisTable is
Begin
with D select
Y<= "01" when "00",
"00" when "01",
"11" when "10",
"11" when "11";
End Joe_Structure;