VHDL Programming in Xilinx for Spartan-6

Thread Starter

Rockyy

Joined Jul 10, 2014
7
Hello,

I am just the begninner for Embedded work.Currently i have to write VHDL Program in Xilinx for Spartan-6 FPGA .But I dont know what to write on my .vhd file.I have created a new Project in Xilinx but now i am not able to know how to start.

Rich (BB code):
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity main is
end main;
architecture Behavioral of main is
begin
 
end Behavioral;
Can any one let me know how to start the things..
 

Papabravo

Joined Feb 24, 2006
21,159
Hello shakilabanu,

Please give the suggestion for above question not on your personal view.
On an objective basis, shakilabanu's point is a reasonable one.
To paraphrase what the OP said, "I've created a project, but I don't know what to do next"

There are two things you need to do next:
  1. Define the requirements of the project
  2. Learn the syntax and semantics of VHDL
In my opinion the first of these is the hardest. Learning the syntax and semantics of a language, like VHDL, is relatively easy if you have the basic foundation in logic design and sequential circuits. If you don't then learning VHDL would be pointless. So maybe the OP should tell us what he wants help with instead of saying he doesn't know what to do next.
 

Thread Starter

Rockyy

Joined Jul 10, 2014
7
Actually I have to create a bit file my spartan-6 FPGA.
Can you provide me know some link or document from where I can start.
 

Shagas

Joined May 13, 2013
804
A bit file? Don't you mean a 'bin' file? If you are using the Xilinx ISE
then maybe you can try clicking on your project and finding 'synthesize' to check your syntax and then 'generate programming file' .
 

Papabravo

Joined Feb 24, 2006
21,159
Actually I have to create a bit file my spartan-6 FPGA.
Can you provide me know some link or document from where I can start.
I find it strange that you're producing output files for a project that has no visible requirements. How can you operate like this? I'm mystified!
 

Brownout

Joined Jan 10, 2012
2,390
First you write your VHDL code.
Then you synthesize your code.
Then you place and route.
Then you create the bit file.
Then you configure your part.

Of course, you need to know what you're writing the VHDL code for before you get started.
 

Papabravo

Joined Feb 24, 2006
21,159
So how much do you actually know about combinatorial logic design and sequential state machines? If you don't know anything about them writing VHDL is going to be a major challenge.
 
Top