Hello,
I am just the begninner for Embedded work.Currently i have to write VHDL Program in Xilinx for Spartan-6 FPGA .But I dont know what to write on my .vhd file.I have created a new Project in Xilinx but now i am not able to know how to start.
Can any one let me know how to start the things..
I am just the begninner for Embedded work.Currently i have to write VHDL Program in Xilinx for Spartan-6 FPGA .But I dont know what to write on my .vhd file.I have created a new Project in Xilinx but now i am not able to know how to start.
Rich (BB code):
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity main is
end main;
architecture Behavioral of main is
begin
end Behavioral;