vhdl based project

Thread Starter

vikru_2008

Joined Mar 10, 2012
10
i m a electronics branch student and need to prepare a project based on vhdl ..i m not going to implement it on fpga right away.so as such i need a project for simulation which should be mostly software..any help with this is highly appreciated.thnx.
 

kubeek

Joined Sep 20, 2005
5,794
What kind of project? For example you could do something simple like a 4bit adder or something more complicated like a LED pong.
 
Top