Suggestions Reqd

Thread Starter

Brass

Joined Oct 13, 2006
14
I am looking at learning about one of the various FPGA chips that are available. My requirements are as follows.

1) Free development language / environment.

2) Software that is simple to use and install.

3) Simple IF-THEN-ELSE type of language nothing where I have to decode *++A----

4) Need an FPGA with 2Meg of highspeed Ram.

5) Cheap as chips :)

Can someone please suggest a FPGA which meets the above requirements.

Cheers in advance.
 

Papabravo

Joined Feb 24, 2006
21,094
I'm not sure that your requirements are realistic, or even particularly well defined. Would you settle for best three out of five? Your question might be easier to deal with if you tell us what alternatives you've considered and why you are unhappy with them.
 

Thread Starter

Brass

Joined Oct 13, 2006
14
I havent actualy concidered any alternatives yet.

I downloaded a couple of APL's to have a look at but found when I got them down that they required about 8 other products written in java to work and it became a headache.

So I thought I'd get some advise before I went any further and came here for some recommendations.

BTW Which 3?
 

Papabravo

Joined Feb 24, 2006
21,094
I havent actualy concidered any alternatives yet.
...
BTW Which 3?
I was thinking 1,2, and 4

When I thought I had a need for it I downloaded a package from Xilinx. I used their 3000 series chips about a decade and a half ago and produced about 4 dozen different chip designs.

The package was almost impenetrable and the need for it never materialized so I uninstalled it without another thought. That I never had to learn either VHDL or Verilog may have had something to do with my inability to get back into the FPGA world.
 

Dave

Joined Nov 17, 2003
6,969
Without knowing your requirements fully and not knowing what your pricing limitations are I would recommend looking at Xilinx's Spartan 3 range:

1./2./3/ Last time I worked with a Xilinx Spartan 3 the package came with Xilinx's free web pack software. If I recall, you can also download the web pack software from the web site. The simulation software, which used to be ModelSim also has a freeware version which is considerably slower than the pay for version. Personally I found the software easy to use and there are plenty of simple projects available on the web to get you started. Project Navigator which you will develop you code in will allow for development of hardware using VHDL - is this language simple enough for you? You should look into what HDLs are suitable for your needs.

4. There are many kits in the Spartan 3 range. For your memory requirements look at the Spartan 3E FPGAs which are optimised for gate-centric designs. You should review the options for the Spartan 3E here.

5. "Cheap as chips" is dependant on your budget.

Have a good look around the Spartan Series of FGPAs to see if they meet your requirements.

Dave
 
Top