Special effects with 7 segment display

Thread Starter

vinicaog

Joined Apr 23, 2010
2
Hello everyone,i need some help to design this project in VHDL,could someone please help me? iam kind lost!

Here its,i need to show the word "PUC" in tree 7 segment displays,but i need to show in this order:

1°-display is off for 0,5 seconds
2°-letter P stay on for 0,5 seconds
3°-letters PU stay on for 0,5 seconds
4°-letters PUC on for 0,5 seconds
5°-displays off for 0,5 seconds
6°-word "PUC" on for 1,5 seconds

repeat 10 times then blink "PUC" with 4hz,if i press button it starts all over again from 1°.

if someone could please help me i whould be extremely happy,also show digital diagram circuit.

thanks!!
 

Thread Starter

vinicaog

Joined Apr 23, 2010
2
am i doing it right?

library ieee;
use IEEE.std_logic_1164.all;
entity eed7seg is
port (clk : in std_logic;
output : out std_logic_vector (2 downto 0)
);
end eed7seg;
--Displays ficam apagados por 0,5 segundo
--Letra “C” fica acesa por 0,5 segundo
--Letras “CU” ficam acesas por 0,5 segundo
--Letras “CUP” ficam acesas por 0,5 segundo
--Displays ficam apagados por 0,5 segund.o
--Letras “CUP” ficam acesas por 1,5 segundos
architecture dataflow of eed7seg is
signal saida : std_logic_vector (2 downto 0);
signal pulsos : integer range 1 to 15;
begin
process (clk)
begin
if rising_edge (clk) then
pulsos <= pulsos + 1 ;
end if;
if pulsos < 2 then
saida <= "000";
elsif pulsos < 4 then
saida <= "100";
elsif pulsos < 6 then
saida <= "110";
elsif pulsos < 8 then
saida <= "111";
elsif pulsos < 10 then
saida <= "000";
else
saida <= "111";
end if;
end process;
output <= saida;
end dataflow;
 
Top