question about pic16f630

Thread Starter

ht systems

Joined Aug 2, 2011
71
hello there , i have a code which i generate on flowcode and im sure that the code is working will but the microchip doesnt work at all when i put it in the system so my question is : how could i know if my pic16f630 microchip is burned ?
note : i have checked if the c code still in the ic and it was there
 

ke5nnt

Joined Mar 1, 2009
384
write a simple test code into the device which does something you can see, like flashing a LED and see if it works. Or using your current code, look at a peripheral pin with an oscilloscope and see if you can see it "working". If not, safe bet it's no good. I accidentally put the 12V power rail to my MCU's Vdd pin once... didn't work so good after that.

It's also very easy to burn up a chip with static, got to be careful. Luckily for us, chips are pretty cheap.
 

SgtWookie

Joined Jul 17, 2007
22,230
Your basic circuit may not be constructed properly. One thing that will trip you up is if you forget to pull MCLR up to Vdd using a resistor, or have instructions in your code to ignore the MCLR flag.

Another "gotcha" is forgetting to either disable the watchdog timer, or include code to continuously re-set the watchdog timer. It's very easy to forget this.

The brown-out will also "get you" if your supply rails are not particularly stable.
 

jwilk13

Joined Jun 15, 2011
228
I second what SgtWookie said about pulling MCLR up to Vdd with a resistor. Another thing to try would be something like the image I attached. That's how I have my PIC18 configured and it works (it's actually how Microchip configured their demo board).

Also, make sure you have at least one (I use two) 0.1 uF capacitor between Vdd and GND for decoupling purposes.

 
Top