different type of modeling in verilong

Thread Starter

vead

Joined Nov 24, 2011
629
when we design hardware in verilong we need to know the different type of modeling like behavioral ,structural modeling
in behavioral modeling output decleared as wire and in structural output decleared as wire
behavioral use always block structural use assign block

I have some questions
1) can we design one hardware with help of different modeling like structural, behavioral, data
2)IF any one say design the hardware how to know which modeling is need to design
3)what the meaning of behavioral and structural model

please somebody tell me thanks in advanced
 
Last edited:

tshuck

Joined Oct 18, 2012
3,534
when we design hardware in verilong we need to know the different type of modeling like behavioral ,structural modeling
in behavioral modeling output decleared as wire and in structural output decleared as wire
behavioral use always block structural use assign block

I have some questions
1) can we design one hardware with help of different modeling like structural, behavioral, data
2)IF any one say design the hardware how to know which modeling is need to design
3)what the meaning of behavioral and structural model

please somebody tell me thanks in advanced
3.) Structural modeling defines the structure of a device in terms of lower level components (e.g. A full adder implemented with low-level gates).

Behavioral, however, describes the behavior of the circuit with an abstraction from the underlying logic (an adder implemented with the line
Rich (BB code):
 sum <= a + b;
doesn't require explicitly implementing any gates)

Reference

2.) Behavioral is probably most often used, but that depends on what you are doing. It is much faster for the designer and easier to understand when the HDL describes its operations rather than seeing a series of inputs and outputs from different hierarchical blocks.

1.) Are you asking if we can mix the different modeling options in designing a device? I'd say yes.
 

Brownout

Joined Jan 10, 2012
2,390
1) can we design one hardware with help of different modeling like structural, behavioral, data
Yes we can.


2)IF any one say design the hardware how to know which modeling is need to design
From experience mostly. Behavorial modeling is used for most of the functionality in a design. Structural modeling is used to tie large designs together.



3)what the meaning of behavioral and structural model
Behavorial describes most of the functionality in the design. Structural typically describes how the modules are connected together, and is usually the top level model of complex designs.
 

Thread Starter

vead

Joined Nov 24, 2011
629
ok thanks for quick reply
1) can we design only combinational circuits with help of structural model like basic gate, adder, multiplexer , encoder or may be other
2) behavioral model is used for both combinational and sequential circuit like adder multiplexer, adder, encoder, memory shift, register ,counter
 

tshuck

Joined Oct 18, 2012
3,534
ok thanks for quick reply
1) can we design only combinational circuits with help of structural model like basic gate, adder, multiplexer , encoder or may be other
2) behavioral model is used for both combinational and sequential circuit like adder multiplexer, adder, encoder, memory shift, register ,counter
1.) Can you create a flip flop from discrete gates? Yes? Then you can make sequential circuits too using a structural approach...

2.) If you can describe the behavior (within reason), you can do it with behavioral.
 

Thread Starter

vead

Joined Nov 24, 2011
629
3.) Structural modeling defines the structure of a device in terms of lower level components (e.g. A full adder implemented with low-level gates).

Behavioral, however, describes the behavior of the circuit with an abstraction from the underlying logic (an adder implemented with the line
Rich (BB code):
 sum <= a + b;
doesn't require explicitly implementing any gates)

Reference

2.) Behavioral is probably most often used, but that depends on what you are doing. It is much faster for the designer and easier to understand when the HDL describes its operations rather than seeing a series of inputs and outputs from different hierarchical blocks.

1.) Are you asking if we can mix the different modeling options in designing a device? I'd say yes.
Behavioral model- describes the behavior of the circuit
1)what is the behavior of adder

Structural modeling -defines the structure of a device in terms of lower level components
2) how to connect component for adder


can someone explain adder with behavioral and structure model
 

tshuck

Joined Oct 18, 2012
3,534
Behavioral model- describes the behavior of the circuit
1)what is the behavior of adder

Structural modeling -defines the structure of a device in terms of lower level components
2) how to connect component for adder


can someone explain adder with behavioral and structure model
This now sounds like homework, which we will not do for you.

Here's a big hint - to do structural, create the adder circuit from gates (or lower level adders, you fall to mention what kind of adder - half adder, full adder, 26 bit adder, etc)

For behavioral, you describe the behavior...see post # 2.

1)what is the behavior of adder
...it's in the name!:rolleyes:
 

Thread Starter

vead

Joined Nov 24, 2011
629
3.) Structural modeling defines the structure of a device in terms of lower level components (e.g. A full adder implemented with low-level gates).

Behavioral, however, describes the behavior of the circuit with an abstraction from the underlying logic (an adder implemented with the line
Rich (BB code):
 sum <= a + b;
doesn't require explicitly implementing any gates)



2.) Behavioral is probably most often used, but that depends on what you are doing. It is much faster for the designer and easier to understand when the HDL describes its operations rather than seeing a series of inputs and outputs from different hierarchical blocks.

.
that's not homework help I am trying to understand above statement with adder logic
 

tshuck

Joined Oct 18, 2012
3,534
that's not homework help I am trying to understand above statement with adder logic
Regardless, I think you will learn it better if you work it out yourself.

Do you know how to make an adder from basic gates? Yes? Do that with Verilog. There, you have the structural implementation done.

After that, describe it as simply adding the input values of the two inputs from the structural implementation.
 

Brownout

Joined Jan 10, 2012
2,390
Behavioural:

A = B + C

Structural:

wire A, B, C, D, E, sum, carry;

AND(.inputA(A), .inputB(B), .output(C));
OR(.inputA(C), .input B(B), .output(D));
etc.

Dataflow:

sum = A ^ B;
carry = A & B;
etc.
 
Top