Create delay with vhdl

Thread Starter

Essadaoui_Red

Joined Feb 17, 2012
13
hello,
I want to display "HELLO" in right rotation with VHDL:

1 - I worked with a select input :
sel = "000" => HELLO
sel ="001" => OHELL
sel="010" => LOHEL
.....

2 - I want it all turns himself with a delay of 500 ms
I can't create a delay :confused:
could you help me please,
thank's
 

Georacer

Joined Nov 25, 2009
5,182
I know that verilog has an embedded delay function in the "wire" connections, in an abstract level of implementation. Maybe VHDL has the same functionality.
 

Thread Starter

Essadaoui_Red

Joined Feb 17, 2012
13
I know that verilog has an embedded delay function in the "wire" connections, in an abstract level of implementation. Maybe VHDL has the same functionality.
hello,
in VHDL we have to program a timer that count the delay, baut i have no idea how can i do it :confused:
 
Top