Circuit that acts as an octal Full Adder (FA)

Thread Starter

twis19

Joined Nov 30, 2010
2
I am need some direction how to begin this project; design a circuit that act as an octal full adder using only binary full adders.

Input: A, B = octal digits; Cin = binary digit
Output S = octal digit; Cout - binary digit

can you suggest a starting point or additional resource to get started?

Thanks
 

tyblu

Joined Nov 29, 2010
199
From which level are you starting? Do you design individual transistors (gate widths) and work up from there, or do you have access to higher level blocks? I would start by understanding a 'Full Adder', as you just need 8 of them. (For a ripple adder, at least; for a look-ahead adder, you'll have to do implement some extra circuitry.)
http://en.wikipedia.org/wiki/Adder_(electronics)#Full_adder
Homework should go in the homework forum.
 

tyblu

Joined Nov 29, 2010
199
Can you implement a simple ripple adder instead of a look-ahead or other type? They are the simplest. A single Full Adder implements the following:
Co = AB+ACi + BCi
S = A(+)B(+)Ci, where (+) is NOR

They can be implemented together with this circuit, using 26T (+4T for inverters):


You will have to design the pull-up and pull-down networks to have equal strengths using gate widths, then daisy-chain 8 of them together.
 
Last edited:
Top