74F579 & RS232

Thread Starter

NcNghtStkr

Joined May 13, 2009
2
I have a project going where i have 4 groups of 3 74F579 Counters ganged together (24 bit count) I would like to send the count into my RS232 port for Data logging and conversion to Decimal display.

Anybody got an idea on how to do this pure TTL? I realy dont have time (or funds) to learn a micro at this time so TTL would be prefered in the short term, if it can be done
 

Papabravo

Joined Feb 24, 2006
21,225
Yeah. You build the transmit half of a UART, which is a 10 or 11 bit shift register. Then you need a clock genertor to generate the baudrate and a state machine to take the three bytes from the counter, jam transfer them to the shift register, and clock the register 10 or 11 times. Last but not least you'll need a logic level to RS-232 level shifter. Maybe they still make the AY-3-1013(?) UART or maybe they don't. If they do it might be helpful.

What a hoot that you think this is the easy way to go. I'm ROTFLMAO.
 

Thread Starter

NcNghtStkr

Joined May 13, 2009
2
Ok, that gives me some ideas to play with in the morning.

I didn't say easy, just don't have time to learn micro right now. will have plenty of time later if the economy don't come back :p
 

Papabravo

Joined Feb 24, 2006
21,225
If I was actually going to do this I would do it in an FPGA probably using VHDL. Thing is, learning VHDL is probably more difficult than learning C for a microcontroller. At least I thought it was.
 
Top