write vhdl code

Thread Starter

rammunath

Joined Dec 3, 2009
6
any one.. help required..my question is to design a sequential circuit using vhdl.. i ve designed a mealy model circuit using J K flip flop.. can anyone help me to write the code for that circuit please reply at the earliest ..
 
Top