VHDL Logic

Thread Starter

Dritech

Joined Sep 21, 2011
901
Hi all,

I am new to VHDL. Can someone please explain what is the difference between std_logic and std_logic_vactor ?
 

tshuck

Joined Oct 18, 2012
3,534
std_logic describes a single, 9-valued signal taking any value of: '0', '1', 'Z', 'X', 'W', 'H', 'L', '-', and 'Z'.

The std_logic_vector is a bus, or group of std_logic signals.
 

Brownout

Joined Jan 10, 2012
2,390
When using std_logic_vector, one specifies the number of signals grouped into a bus using indicies in the signal declaration, ie;_

std_logic_vector [31 downto 0] my_signal;
 
Top