Synchronous counters

Thread Starter

DigitalCircuit

Joined Dec 19, 2010
1
How can design Modulo 11 counter with using T flip-flop's and decoder ?

Let Q3 Q2 Q1 Q0 are the present state and T3 T2 T1 T0 flip-flop inputs.
I find the inputs using karnaugh map
T0 : \(\neg\)Q3 + \(\neg\)Q1
T1 : Q0 + Q3Q1
T2 : Q1Q0
T3 : Q2Q1 + Q3Q1
 
Top