keypad 3x3

Thread Starter

sajsajsajsaj

Joined May 25, 2010
1
hi evryone
i want to write a VHDL code for 3x3 keypad(number 1 to 9) which is on a FPGA board, user prees one key and the output should be shown on a 7segment ...
could i write a VHDL code that assign number 1 to 9 to those 9 key?How?
help me...thnx

 
Top