---------------------------------------------------------
signal inputA, inputB, sum : std_logic_vector(23 downto 0);
signal enable : std_logic;
---------------------------------------------------------
process(enable,inputA,inputB)
if(enable='1')then
sum <= inputA + inputB;
end if;
end process;
---------------------------------------------------------